Tool Independent High-Level Synthesis - with a comparison between Mentor Catapult and Cadence Stratus

This document was uploaded by one of our users. The uploader already confirmed that they had the permission to publish it. If you are author/publisher or own the copyright of this documents, please report to us by using this DMCA report form.

Simply click on the Download Book button.

Yes, Book downloads on Ebookily are 100% Free.

Sometimes the book is free on Amazon As well, so go ahead and hit "Search on Amazon"

Author(s): Oscar Dahlblom
Year: 2019

Language: English
Pages: 46
Tags: HLS

Introduction
Background
Objectives and Questions
Methodology
Contribution
State of the Art
Increasing abstraction levels
Benefits and drawbacks of HLS
An Altered Workflow
System C
HLS tools
Methodology
FIR filter example block
Catapult Workflow
Conversion of Codebase
Simulation
Synthesis
Environments
Results
AC types in Stratus
Verification of functionality using ARM SoC Designer
Data type simulation speed
High-Level Synthesis using Cadence Stratus and Mentor Graphics Catapult
Logic Synthesis Using Cadence Genus
Discussion
Simulation speed
Bit accurate data types in Catapult and Stratus
Components placed by the HLS tools
Genus Synthesis Results
Area approximations
Conclusion
Return to the Research Questions
Guidelines and Recommendations
Future work